当前位置:电子与通信技术题库>EDA技术题库

问题:

[单选] 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的()。

A、原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计。B、原理图输入设计方法一般是一种自底向上的设计方法。C、原理图输入设计方法无法对电路进行功能描述。D、原理图输入设计方法不适合进行层次化设计。

问题:

[单选] 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是()。

A、PROCESS为一无限循环语句。B、敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。C、当前进程中声明的变量不可用于其他进程。D、进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成。

问题:

[单选] 下列状态机的状态编码,方式有“输出速度快、难以有效控制非法状态出现”这个特点。()

A、状态位直接输出型编码。B、一位热码编码。C、顺序编码。D、格雷编码。

问题:

[单选] VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库()。

A、IEEE库。B、VITAL库。C、STD库。D、WORK工作库。

问题:

[单选] 下列4个VHDL标识符中正确的是:()。

A、10#128#。B、16#E#E1。C、74HC124。D、X_16。

问题:

[名词解释] JTAG

问题:

[名词解释] HDL

问题:

[单选] 下列关于信号的说法不正确的是()。

信号相当于器件内部的一个数据暂存节点。。信号的端口模式不必定义,它的数据既可以流进,也可以流出。。在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。。信号在整个结构体内的任何地方都能适用。。

问题:

[单选] 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中()是错误的。

综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件。为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。综合是纯软件的转换过程,与器件硬件结构无关。

问题:

[单选] 嵌套的IF语句,其综合结果可实现()。

条件相与的逻辑。条件相或的逻辑。条件相异或的逻辑。三态控制电路。